Hi, any idea why these come - ```Cell sky130_fd_sc...
# openlane
b
Hi, any idea why these come -
Copy code
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Cell sky130_fd_sc_hd__clkbuf_1 couldn't be read
Thanks
m
Do you have the hd library installed?
b
In my $PDK_ROOT I only have sky130A In PDK_ROOT/skywater-pdk/libraries, I have a directory for - sky130_fd_sc_hd
m
During what stage do you see those?
That will determine what file it is looking for
b
Thanks, it's in stage 36 - magic spice export -
Copy code
[36m[INFO]: Running Magic Spice Export from LEF...[37m

[36m[INFO]: current step index: 36[37m


Magic 8.3 revision 145 - Compiled on Mon Mar 22 04:21:56 UTC 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
Processing system .magicrc file
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/magic_spice.tcl" from command line.
Reading LEF data from file /home/bhawandeepsingh/Desktop/darkriscv_in_openlane/PDK_ROOT/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef.
This action cannot be undone.
LEF read, Line 77 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 78 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 110 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 112 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 113 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 119 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 120 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 121 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 153 (Message): Unknown keyword "MINENCLOSEDAREA" in LEF file; ignoring.
LEF read, Line 161 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 162 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 164 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 165 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 166 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 202 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 203 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 205 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 206 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 207 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 243 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 244 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read, Line 246 (Message): Unknown keyword "MAXIMUMDENSITY" in LEF file; ignoring.
LEF read, Line 247 (Message): Unknown keyword "DENSITYCHECKWINDOW" in LEF file; ignoring.
LEF read, Line 248 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ignoring.
LEF read, Line 284 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
LEF read, Line 285 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
LEF read: Processed 791 lines.
Reading DEF data from file /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def.
This action cannot be undone.
  Processed 6 vias total.
Cell sky130_fd_sc_hd__inv_2 couldn't be read
DEF read, Line 936 (Error): Cell sky130_fd_sc_hd__inv_2 is not defined.  Maybe you have not read the corresponding LEF file?
Cell sky130_fd_sc_hd__buf_1 couldn't be read
DEF read, Line 937 (Error): Cell sky130_fd_sc_hd__buf_1 is not defined.  Maybe you have not read the corresponding LEF file?
After these there are thousands of these warnings for many library cells.