hello! just checking in, is there an easy way to d...
# openlane
a
hello! just checking in, is there an easy way to disable CTS yet?
if i disable
CLOCK_TREE_SYNTH
i still get this error:
Copy code
Error: sta.tcl, 128 can't read "::env(CLOCK_PORT)": no such variable
so i guess i can't run STA...
v
use
set ::env(CLOCK_PORT) ""
in config.tcl
👍 2
a
will try that thanks!