Generous support from <@U016EM8L91B> from efabless...
# general
k
Generous support from @Tim Edwards from efabless for SKY130 open_pdks workshop It needs a great amount of dedication, passion and precision to build a complete lab-based workshop around SKY130 open_pdks which is called "Physical Verification". And thanks to @Tim Edwards for helping in building this entire cloud-based physical verification workshop from scratch, right from installation of open-source tools to PDK installation to schematic to layout to DRC/LVS checks and finally to tapeout. This workshop is a perfect one for people who are targeting the next shuttle, as it has some demo designs, which could be directly characterized and sent across for tapeout. One other thing, this workshop is available at an 80% discounted price of just $20. Thanks to Tim Edwards for helping with the pricing. The registration link is below https://www.vlsisystemdesign.com/physical-verification-using-sky130/ A glance at the below content will help you understand what is being covered in this hands-on 5-day workshop. Day 1 - Open_pdks installation, Installing open_pdks and the SkyWater PDK, Project setup best practices, Setting up for layout with magic, Setting up for schematic capture with xschem, Setting up for LVS with netgen, Creating a SKY130 device layout in magic, Creating a simple schematic in xschem, Exporting a schematic to layout, Simulating the netlist Day 2 - Basic GDS, Reading/writing GDS to/from magic, GDS compositing with magic, Vendor GDS, Reading unknown GDS (magic and klayout), GDS read/write options, Basic extraction, Extracting a SPICE netlist from magic, Extraction options, Parasitic extraction, Setup for DRC, Running magic (on a prepared example), Using DRC styles, Using alternative tech files, Running sign-off scripts, Viewing DRC in klayout, Setup for LVS, Netgen setup scripts, Running netgen (on a prepared example), Setup for XOR, Creating an ECO (from a prepared example), Running XOR Day 3 - Back-end (metal layer) rules, Width, Spacing, Notch, Wide-spacing, Minimum Area, Via overlaps, Minimum hole, Slot rules, Via generation rules, Local Interconnect, Front-end rules, Transistor rules, Implants, ID layers, Boundary layers, Wells, Same-net rules, Deep N-well, High voltage rules, Resistors, Capacitors, Diodes, Fixed-layout devices, Miscellaneous rules, Off-grid errors, Restricted angles, Latchup rules, Antenna rules, Stress rules, Density rules, Why density rules? Layer density requirements, Fill generation algorithm, Fill blocking, When automation fails, Recommended rules, Double vias, DRC in Magic, DRC styles, Dumping and viewing DRC results, Fixing DRC error Day 4 - OpenLANE/OpenROAD automation, Non – Interactive mode of OpenLANE Flow, Interactive mode of OpenLANE Flow, Techniques To Avoid Common DRC Errors, Techniques To Manually Fix Violations Day 5 - Generating netlists from schematic, Generating LVS netlists from layout, Differences between LVS netlists and simulation netlists, How to prepare netlists for both simulation and LVS, Verilog vs. layout, Running netgen, Pre-match analysis, Hierarchical checking and flattening, Property checking, Pin checking, Series/Parallel combining, Symmetry breaking, Interpreting results, Fixing errors So anyone, having any questions regarding SKY130, I am quite sure, most of them are answered in this workshop, provided you do labs. Here's the registration link (again). Workshop dates - 10th - 14th Oct 2022 https://www.vlsisystemdesign.com/physical-verification-using-sky130/ All the best and happy learning
🌍 1