any ideas why hand placing a tristate buffer isn't...
# sky130
m
any ideas why hand placing a tristate buffer isn't working for me? It only ever gives me x output. sky130_fd_sc_hd__ebufn_4 tri_buf ( .A( tri_in ), .Z(tri_out), .TE_B(!enable) ) https://github.com/mattvenn/tristate-test
a
@Matt Venn not sure, but perhaps power it?
m
there's a powered and unpowered version
m
ahhh
a
I'm really just guessing here so I might be wrong
a
See 
sky130A/libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v
 line 93518. It's a bug in the PDK apparently? Try making it 
bufif0
instead.
m
same result
a
My bad; I misread it... It's perfectly fine.
m
maybe it's the power issue
a
Could you trying using that line instead of your
assign
statement?
m
?
a
Oh, I haven't seen that you have
USE_POWER_PINS
defined. It's probably that then. Sorry about the diversion...
m
yeah I get loads of errors without it
I just copied it from caravel
ok, with power connected, it works! thanks @Amr Gouhar & @Ahmed Ghazy
🙌 1