Hey all , I am working on a research project using...
# sky130
p
Hey all , I am working on a research project using OpenLane , I am trying to load my own design verilog file but am getting the error as shown in the image. Please if someone could help me here.
d
Tool is saying it's not finding top-model name with test You may need set DESIGN Name correctly in config.tcl set ::env(DESIGN_NAME) <Module Name> <Module Name> should be top module name or in your case module name in design.v
p
Thank you so much for the support
I figured out the issue
I am actually trying to design a basic mux and this is the error i am facing in step 7 of the openlane design flow , if you could help me out with it.
d
Tool is saying utilization is more than 100% .. "util%:116.67" you need to increase you die size ==> set ::env(DIE_AREA)
p
Sorry , I'll try and let you know If I enter into any other issue
Hey do you have an idea on how to simulate the spice file generated by openlane using ngspice