Hello, I am trying to synthesize my design using o...
# sky130
j
Hello, I am trying to synthesize my design using openlane, and I get an error in placement. In the picture you can see the log: "12-opendp.log". I am new to physical design, so I honestly I am clueless as what is the problem.
I forgot to add the image
Anyway, If anyone has some insight as to why this is happening, I will greatly appreciate the help. Regards, Jure
m
looks like a config error to me
can you add all the config to a public repo and ask again with a link in the #openlane channel
j
Hey Matt, Thanks for the tip, I will do that.