<https://github.com/Xyce/Xyce/issues/2> might have...
# analog-design
b
https://github.com/Xyce/Xyce/issues/2 might have some information
j
From the github thread “Unfortunately, I cannot spend any time actively developing...” Yup, exactly. I have a need for mixed simulation (GHDL and SPICE) for a project coming up. It seems the supported simulator here is ngspice... if that project comes to fruition i’ll see if i can put some cycles into that combination.
p
A lot more was written on the subject over here: https://github.com/ghdl/ghdl/issues/1052
It could be interesting to look into cxxrtl for cosimulation with ngspice or xyce maybe. That would support both ghdl and verilog.