Hi, I am Aireen, a researcher at MERL-UIT. I was r...
# openlane
a
Hi, I am Aireen, a researcher at MERL-UIT. I was running the openlane flow on spm design and ran into this issue at the cts stage. How do I get rid of this error. Previously when I ran the flow, it went pretty smooth without any error. But now, somehow errors have started to appear. Any leads?
m
I've seen this with very small designs
or ones with no clock
also you could have a clock with a different name?
if you have no clock then you can turn off clock tree synthesis
a
Cheers. Thanks @Matt Venn, the error is resolved.
a
@Aireen Amir Jalal: I can see that this is spm. The output above is not normal; spm does have sinks. This is what it should be showing from a successful run:
Copy code
Net "clk" found
 Initializing clock net for : "clk"
 Clock net "clk" has 64 sinks
 TritonCTS found 1 clock nets.
I suspect that something went wrong with synthesis. Could you share your synthesis log?
m
good catch, didn't see it was for the spm design
a
yes @Ahmed Ghazy, the spm design definitely has sinks, the error was something else, which I figured out later and managed to get rid off. some of the files in openroad directory, got hidden from the flow as they were opened in other tabs. So the steps above cts weren't successful somehow.
👍 1