<@U016HSALFAN> <@U01634FH82K> <@U016ULGAUNM> <@U0...
# openlane
w
@User @User @User @User Hello, I was running my SoC. i got the following error during the route:
[ERROR] FastRoute cannot handle very congested design
[ERROR]: during executing: "openroad -exit /openLANE_flow/scripts/openroad/or_route.tcl |& tee >&@stdout /openLANE_flow/designs/ghazi/runs/fourth/logs/routing/fastroute.log"
[ERROR]: Exit code: 1
[ERROR]: Last 10 lines:
child process exited abnormally
From the DEF file, the standard cells are being overlapped with the macro. Usually there is a placement blockage placed over the macro to avoid this. I could not find how to do it in OPENLANE flow. Reviewing the OPENROAD git, they have a way to create a fence around the macro, as shown below: https://github.com/The-OpenROAD-Project/OpenROAD/blob/master/src/TritonMacroPlace/src/macroPlace.tcl @User @User
a
@Wajeh ul hasan: I think the fastest action would be relaxing the FP_CORE_UTIL and PL_TARGET_DENSITY.
r
@tnt has a patch that applies manual macro placement before global placement that should prevent this
t
That's been merged in openlane yesterday.
2
w
@tnt Can you please point me towards this patch. I tried constraining the core area through
env(CORE_AREA)
and placed my macro outside the core area. Now though, I have run into the routing issue since it is now routing over the macro. @aryap
Are you using rc5? What are the risks of switching to rc5 since it is still in "develop-ment".
a
there are risks either way. if you can't solve it in rc4 you pretty much have to move to rc5
@Wajeh ul hasan i can't even get my routing to finish without error
have you tried setting
::env(GLB_RT_OBS)
like @tnt does?
w
oh, I am still dabbling in rc4, I guess I would have to shift