Hi all I'm trying to fit a design in the user_proj...
# openlane
h
Hi all I'm trying to fit a design in the user_proj_example and just by running the default setup I'm getting a gds without any sdtcell layout, Has anyone else experienced this? I'm working at branch develop of openlane with commit 40e97e3. Posted in #shuttle
a
This could be caused by bad installation of the pdk/invalid setup/a faulty version of magic. In both three cases, you'll need to reinstall because commit 40e97e3 uses versions of the pdk and magic that are no longer used in any of the active branches. They might have been faulty versions, but we'll never know. All I know is the current head of develop and master produce valid GDS-II with the correct setup.
h
@Amr Gouhar I was trying with HEAD of develop yesterday and it produces this type of errors
a
@Hanssel Enrique Morales Norato: with which version of caravel so I can reproduce it?
h
on branch develop HEAD
a
I'll try it out and report back.
h
Thank you 🙂
clear
a
I was able to run it without issues. Not sure why you're facing this error.
Did you run
make uncompress
when you cloned the repo?
h
I'm just following the quick start steps with pre-defined PDK_ROOT git clone https://github.com/efabless/openlane.git --branch develop cd openlane make make test cd .. git clone https://github.com/efabless/caravel.git --branch develop cd caravel/openlane make user_proj_example OPENLANE_IMAGE_NAME=openlane:rc5
do I have to run
make uncompress
?
a
instead of
cd caravel/openlane
run
Copy code
cd caravel
make uncompress
cd openlane
The readme needs to be updated I guess. Sorry for that..
h
I'll try it out and report back. thanks for guiding me.
@Amr Gouhar I follow the same procedure adding make uncompress which shouldn't change the problem because I'm running user_proj_example which is a counter that doesn't instantiate any pregenerated gds. but ok I get something different first the process get stop while running openSTA and then after exiting :
a
Oh, that's my bad. I thought you're running user_project_wrapper. Sorry for that as well.
@Hanssel Enrique Morales Norato: can you replace
CLOCK_NET
with
CLOCK_PORT
in the
config.tcl
h
I did that and then it completes the flow normally but the generated gds doesn't have cells only routing my complete installation flow goes like this : export PDK_ROOT=/home/askartos/workspace/openHardware/pdks export OPENLANE_ROOT=/home/askartos/workspace/openHardware/openlane rm -rf openlane caravel pdks #removing docker containers and images from the previous installation docker container stop $(docker container ls -aq) docker container rm $(docker container ls -aq) docker rmi $(docker images -q) git clone https://github.com/efabless/openlane.git --branch develop cd openlane make cd .. git clone https://github.com/efabless/caravel.git --branch develop cd caravel make uncompress cd openlane # replace CLOCK_NET with CLOCK_PORT in config.tcl make user_proj_example OPENLANE_IMAGE_NAME=openlane:rc5
r
Are you sure the PDK is installed correctly using the instructions on the open_pdks repo folder sky130
Because that's the only thing I can think of in my limited imagination that would consistently cause this
a
I agree with @Riking28, maybe you installed the PDK and then moved it to a different location?
h
I'm not installing manually the PDK im using the make file from openlane branch develop to install the PDK so I guess is installed correctly.
But looking in the execution log it seems the flow isn't able to read the cells fig.1 , although the flow doesn't get stopped by this and ends "Successful" fig.2
I mean LVS should be failing, no?
a
@Hanssel Enrique Morales Norato: Actually no, since lvs is done on a spice netlist vs a verilog netlist. My suspicion is that the pdk installation wasn't successful and the mag files are either corrupt or contain an invalid PDK_ROOT.
h
Should I add something to the way I start the docker to enable it to read the files in PDK_ROOT ? docker run -it -v $(pwd):/openLANE_flow -v $PDK_ROOT:$PDK_ROOT -e PDK_ROOT=$PDK_ROOT -u $(id -u $USER):$(id -g $USER) openlane:rc5
@Matt Venn
m
@Hanssel Enrique Morales Norato Did you ever get this resolved?
h
You have to reinstall the pdk without trusting in openlane automatic installation, that work for me
m
That was my experience, too.