My design is crashing in route: `Layer 1 overf...
# openlane
j
My design is crashing in route:
Layer 1 overflow: 0
Layer 2 overflow: 0
Layer 3 overflow: 0
Layer 4 overflow: 0
Layer 5 overflow: 0
Layer 6 overflow: 0
[Overflow Report] Total Usage   : 4308733
[Overflow Report] Total Capacity: 13724068
[Overflow Report] Max H Overflow: 0
[Overflow Report] Max V Overflow: 0
[Overflow Report] Max Overflow  : 0
[Overflow Report] H   Overflow  : 0
[Overflow Report] V   Overflow  : 0
[Overflow Report] Final Overflow: 0
[INFO] Final usage          : 4308733
[INFO] Final number of vias : 1244036
[INFO] Final usage 3D       : 8040841
[INFO] Total wirelength: -219538 um
[ERROR]: during executing: "openroad -exit /openLANE_flow/openlane/scripts/openroad/or_route.tcl |& tee >&@stdout /openLANE_flow/openlane/designs/sha3_miner/runs/25-11_03-03/logs/routing/fastroute.log"
[ERROR]: Exit code: 1
[ERROR]: Last 10 lines:
child killed: segmentation violation
[ERROR]: Please check openroad  log file
[ERROR]: Dumping to /openLANE_flow/openlane/designs/sha3_miner/runs/25-11_03-03/error.log
while executing
"try_catch openroad -exit $::env(SCRIPTS_DIR)/openroad/or_route.tcl |& tee $::env(TERMINAL_OUTPUT) $::env(fastroute_log_file_tag).log"
(procedure "global_routing" line 5)
invoked from within
"global_routing"
(procedure "run_routing" line 15)
invoked from within
"run_routing"
(procedure "run_non_interactive_mode" line 16)
invoked from within
"run_non_interactive_mode {*}$argv"
invoked from within
"if { [info exists flags_map(-interactive)] || [info exists flags_map(-it)] } {
puts_info "Running interactively"
if { [info exists arg_values(-file)..."
(file "./flow.tcl" line 164)
Any ideas what could be the problem... maybe Total Wirelengh shouldn't be negative?
h
try this openlane commit 40e97e3
j
Not sure how to do that
h
git clone https://github.com/efabless/openlane.git --branch develop cd openlane git checkout 40e97e34a34d11727d037401956c3c1e4792cc86
j
Thanks
Do I also need to update PDK? I now get [INFO]: Running Static Timing Analysis... OpenSTA 2.2.0 0d73b5b65a Copyright (c) 2019, Parallax Software, Inc. License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html> This is free software, and you are free to change and redistribute it under certain conditions; type `show_copying' for details. This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'. Warning: /home/jcyr/pdk_root/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found. Warning: /home/jcyr/pdk_root/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found. Error: cannot read file /openLANE_flow/openlane/designs/sha3_miner/runs/25-11_03-41/results/synthesis/sha3_miner.synthesis_optimized.v.
~Yet the folder exists: bash-4.1$ ls /home/jcyr/pdk_root/sky130A/libs.ref/sky130_fd_sc_hd cdl doc gds lef lib mag maglef spice techlef verilog~
a
I wouldn't suggest checking out to a random commit of develop. Either use master, or latest develop but always assume that develop might not be stable. @Jean: how big is your design? Does it have any macros inside it?
r
If it says "Killed", you need more RAM
Change the way you're starting the docker container
j
The box has 24GB of RAM. Is it a docker limit?
r
Yeah, there's a RAM limit in the container start configuration