Does the openlane flow support static timing analy...
# openlane
m
Does the openlane flow support static timing analysis on multiple/independent clocks? It seems like only
CLOCK_PERIOD
,
CLOCK_PORT
, and
CLOCK_NET
from the
config.tcl
are honored and the
SDC_FILE
does nothing. I tried to create multiple clocks in the
SDC_FILE
, but only the single clock referenced in the
config.tcl
were analyzed. Can you specify multiple clocks in the
config.tcl
file?
m
OpenSTA does support multiple clocks. I'm not sure how that is exposed in the openlane flow.