<@U0172QZ342D> 1)   I went deep to track above pro...
# openlane
t
@User 1)   I went deep to track above problem, the root cause was the detailed routing that was creating weird structures in met 2, like U shapes. 2)   I reduced the hardened macro density and it fixed the problem. 3)   Now flow reports success without fatal errors but DRC fails with 10 errors in met2 spacing > 140nm, mostly in macro pin connections. Do you recommend any methodology that fixes it?
m
My goto variables for this kind of thing are target_density and core_util. You could also try setting GLB_RT_ADJUSTMENT. It ranges from 0 to 1 and as it gets larger spreads out the routing.
have you looked at the run_designs.py design exploration tool?
you can make a config that will try all combinations of a set of variables and then generate a table will all the results.
t
Your approach is appealing, however, i solved this problem by observation. In an attempt to connect with pins, router enters the macro and this should be avoided. Therefore, I extended the pins out of macro, and the problem solved.
🙌 1
However, the overlap problem remains. I am trying to do things with a similar approach as your multi-project build because P&R is not good enough to handle flattened top level.
The overlap problem is solved too. Apparently, the flow was not preventing the router to route in the hardened macro. The overlaps were not DRC but they were caught by extraction phase.
m
Glad you got it sorted