Hi! What can I do with the following error during ...
# openlane
e
Hi! What can I do with the following error during yosys-abc run? 5.23.1.1. Executing ABC. Running ABC command: <yosys-exe-dir>/yosys-abc -s -f <abc-temp-dir>/abc.script 2>&1 ERROR: ABC: execution of command "/build/bin/yosys-abc -s -f /tmp/yosys-abc-zqwvu9/abc.script 2>&1" failed: return code -1. Is this because of extra big design? : 5.23.1. Extracting gate netlist of module `\crc32' to `<abc-temp-dir>/input.blif'.. Extracted 1308837 gates and 1311238 wires to a netlist network with 2400 inputs and 32 outputs.
v
Post complete log.. it may help.. just check for error and warning
e
Here it is. I'm trying to run flow at design with >10^6 cells. May be this is the reason. Smaller designs passed successfully.
v
Increase the memory and try it export DOCKER_MEMORY=16gb set this before make mount
still stops at same error file gitHub issue
e
I run docker at host with 72GB of memory. I hope all this memory is available in docker container. I didn't catch where should I set this variable? Should I set it before docker container run or as parameter to docker run?
v
increase the memory by:
In terminal run below command where OL lanuched: $export DOCKER_MEMORY=32gb $make mount
e
What make mount does?
I run "docker run -it -v ..."
The docker documentation says: By default, a container has no resource constraints and can use as much of a given resource as the host’s kernel scheduler allows.
v
check in yosys.log how much cell count?
e
"Extracted 1308837 gates"
v
I'm also struck with such big design. Try with Open-Road-flow-scripts. Else do macro hardening and integrate the design. split into sub-level design and do chip integration.
e
Thanks. I have the same idea 🙂 Do you have any metrics of area optimization? For one of my design (~17000 gates) I got ~70% density at Innovus and at least 20% at OL.