I'm getting this error: `[ERROR]: File /project/op...
# openlane
b
I'm getting this error:
[ERROR]: File /project/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/17-spef_extraction_sta.min.rpt doesn't exist.
I'll attach the log file for step 17. Does anybody know why this is happening?
h
Did you define a clock port/net? If none are defined then no STA is run, maybe that causes the fail later on.
b
I don't have a clock. Is there a way to bypass it?
h
Note sure. Maybe you can find a project also w/o clock, and then look at the
config.tcl
s
To bypass the clk, just keep this field in the
config.tcl
as empty.
set ::env(CLOCK_NET) " "
b
That worked thank you @User
s
welcome @User