Mohammad Tahmid Hassan
10/20/2024, 11:10 AMmodule design13 #(parameter WIDTH = 4) (input logic [(WIDTH-1):0] a,
input logic [(WIDTH-1):0] b,
input logic [(WIDTH-1):0] c,
output logic [(WIDTH+1):0] out);
logic [WIDTH:0] ps,cs,pr,gn;
logic [WIDTH+1:0] fc,fs,ipr,ign,temp;
always_comb begin
cs[0] = 1'b0;
ps[WIDTH]=1'b0;
ps= {1'b0, a ^ (b ^ c)};
cs = {(a & b) | (c & (a | b)),1'b0};
pr = ps | cs;
gn = ps^cs;
ipr = {1'b0,pr};
ign = {1'b0,gn};
fs = {1'b0,ps};
fc[0] = 0;
for(int i=1; i<WIDTH+1; i++) begin
fc[i] = ign[i-1] | (ipr[i-1] & fc[i-1]);
end
out = fc ^ fs;
end
endmodule
I get this warning:
%Warning-ALWCOMBORDER: /home/npn/my_designs/design13/design13.sv:21:17: Always_comb variable driven after use: 'fc'
: ... note: In instance 'design13'
21 | fc[i] = ign[i-1] | (ipr[i-1] & fc[i-1]);
──────────────────────────────────────────────────── Yosys Synth Checks ─────────────────────────────────────────────────────
[15:08:12] VERBOSE Running 'Checker.YosysSynthChecks'… step.py:1088
[15:08:12] ERROR 1 Yosys check errors found. checker.py:128
Classic - Stage 8 - Yosys Synth Checks ━━━╸━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 7/76 0:00:17
[15:08:12] WARNING The following warnings were generated by the flow: flow.py:672
[15:08:12] WARNING [Checker.LintWarnings] 1 Lint warnings found. flow.py:674
[15:08:12] ERROR The following error was encountered while running the flow: __main__.py:160
1 Yosys check errors found.
[15:08:12] ERROR OpenLane will now quit.
And this error shows...
It seems there is something wrong with the for loop...
What is it?Will S
10/20/2024, 6:11 PMMohammad Tahmid Hassan
10/21/2024, 7:14 AMMohammad Tahmid Hassan
10/21/2024, 7:23 AMMohammad Tahmid Hassan
10/21/2024, 12:07 PMWill S
10/21/2024, 4:04 PMWill S
10/21/2024, 4:04 PMWill S
10/21/2024, 4:05 PMWill S
10/21/2024, 4:05 PMMohammad Tahmid Hassan
10/21/2024, 4:20 PMWill S
10/21/2024, 4:45 PMMohammad Tahmid Hassan
10/21/2024, 5:01 PMWill S
10/21/2024, 5:50 PMMohammad Tahmid Hassan
10/21/2024, 5:51 PMWill S
10/21/2024, 5:53 PMWill S
10/21/2024, 5:54 PMMohammad Tahmid Hassan
10/21/2024, 6:31 PMWill S
10/21/2024, 6:34 PMMohammad Tahmid Hassan
10/21/2024, 6:35 PMMohammad Tahmid Hassan
10/21/2024, 6:38 PMMohammad Tahmid Hassan
10/21/2024, 6:41 PMWill S
10/21/2024, 10:12 PMWill S
10/21/2024, 10:12 PMWill S
10/21/2024, 10:12 PMMohammad Tahmid Hassan
10/22/2024, 6:07 AMMohammad Tahmid Hassan
10/22/2024, 6:09 AMMohammad Tahmid Hassan
10/22/2024, 6:09 AMWill S
10/22/2024, 6:36 AMWill S
10/22/2024, 6:36 AMMohammad Tahmid Hassan
10/22/2024, 6:37 AMMohammad Tahmid Hassan
10/22/2024, 3:18 PMMohammad Tahmid Hassan
10/22/2024, 3:19 PMMohammad Tahmid Hassan
11/18/2024, 4:02 PM