I got this error when running Openlane with our cu...
# openlane
h
I got this error when running Openlane with our customized cell:
Copy code
Error: pin vco_0/input_analog not covered by guide
Error: pin vco_0/input_analog not covered by guide
Warning: analog_io[1] 2 pin not visited, fall back to feedrough mode
Error: analog_io[1] 2 pin not visited #guides = 5
Error: critical error guide not connected, exit now 1!
[ERROR]: during executing: "TritonRoute /project/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/19-tritonRoute.param |& tee >&@stdout /project/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-tritonRoute.log"
[ERROR]: Exit code: 1
[ERROR]: Last 10 lines:
child process exited abnormally

[ERROR]: Please check TritonRoute  log file
[ERROR]: Dumping to /project/openlane/user_project_wrapper/runs/user_project_wrapper/error.log
What could be the causes of this error? I am trying to debug it.