I am unable to get OpenLane to compile my project....
# openlane
a
I am unable to get OpenLane to compile my project. It errors in fast route without any reason See attached files for details.