pdk/sky130A/libs.ref/sky130_fd_sc_hd/verilog/sky13...
# openlane
m
pdk/sky130A/libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v