<@U018LA3KZCJ> So, that answers my question about ...
# openroad
m
@User So, that answers my question about create_clock (as soon as I find a way to get a driving pin..) , but why doesn't clock_tree_synthesis allow nets? This is an OR command and it complains with not finding clock nets.
Copy code
clock_tree_synthesis\                                                                                                                                            
    -buf_list $::env(CTS_CLK_BUFFER_LIST)\                                                                                                                       
    -root_buf $::env(CTS_ROOT_BUFFER)\                                                                                                                           
    -clk_nets $::env(CLOCK_NET)\                                                                                                                                 
    -sink_clustering_enable\                                                                                                                                     
    -sink_clustering_size $::env(CTS_SINK_CLUSTERING_SIZE)\                                                                                                      
    -sink_clustering_max_diameter $::env(CTS_SINK_CLUSTERING_MAX_DIAMETER)
CLOCK_NET is defined to a valid two nets in the design but it can't find either of them. The docs say:
Copy code
-clk_nets is a string containing the names of the clock roots. If this parameter is omitted, TritonCTS looks for the clock roots automatically.