Indira Iyer
01/06/2023, 7:16 PMPranav Lulu
01/09/2023, 11:16 AMChip area for module
what are the units for this value? Attaching the file for same.Jack Luar
01/13/2023, 7:30 AMcells
to alphabet pins, but mapping of nets
(e.g. *37) to numbers. How can we check which number correspond to which part of the net
?Nelson Rodriguez
01/25/2023, 9:01 PMviali
(local interconnect <-> metal 1) over the available li
area on each standard cell? (fig 1)
I'm asking this because I was designing some cells but I was adding by myself the pins in the metal layer (fig 2) (obs: this cell was to find the Wpmax and Wnmin respecting DRC)Indira Iyer
02/02/2023, 10:03 PMDinesh A
02/08/2023, 3:28 AMIndira Iyer
02/10/2023, 8:00 PMAlexander Sheldon
02/14/2023, 12:52 AMmilad
02/20/2023, 8:32 AM-- GPU is not enabled
CMake Error at src/mpl2/CMakeLists.txt:42 (find_package):
By not providing "Findortools.cmake" in CMAKE_MODULE_PATH this project has
asked CMake to find a package configuration file provided by "ortools", but
CMake did not find one.
By not providing "Findortools.cmake" in CMAKE_MODULE_PATH this project has
asked CMake to find a package configuration file provided by "ortools", but
CMake did not find one.
Could not find a package configuration file provided by "ortools" with any
of the following names:
ortoolsConfig.cmake
ortools-config.cmake
Add the installation prefix of "ortools" to CMAKE_PREFIX_PATH or set
"ortools_DIR" to a directory containing one of the above files. If
"ortools" provides a separate development package or SDK, be sure it has
been installed.
i can not find such package "ortools-config.cmake" to install.
i would appreciate it if anyone could helpWill S
02/27/2023, 1:41 AMWarning: multiple conflicting drivers for CombinationalFFT.\v.comb_fft.genblk2[2].fft_stage.genblk1[3].bfu_in.dc [31]:
port Y[31] of cell $techmap\v.comb_fft.genblk2[2].fft_stage.genblk1[3].bfu_in.$add$./designs/sky130hd/CombinationalFFT/CombinationalFFT__pickled.v:756$137 ($add)
port Y[31] of cell $techmap\v.comb_fft.genblk2[2].fft_stage.genblk1[3].bfu_in.$add$./designs/sky130hd/CombinationalFFT/CombinationalFFT__pickled.v:757$138 ($add)
Then my OpenROAD crashes with the error in the picture, even though the module in the specified line looks like this:
module ButterflyVRTL (
clk,
reset,
recv_val,
recv_rdy,
send_val,
send_rdy,
ar,
ac,
br,
bc,
wr,
wc,
cr,
cc,
dr,
dc
);
parameter n = 32;
parameter d = 16;
parameter mult = 1;
input wire clk;
input wire reset;
input wire recv_val;
input wire send_rdy;
input wire [n - 1:0] ar;
input wire [n - 1:0] ac;
input wire [n - 1:0] br;
input wire [n - 1:0] bc;
input wire [n - 1:0] wr;
input wire [n - 1:0] wc;
output wire send_val;
output wire recv_rdy;
output wire [n - 1:0] cr;
output wire [n - 1:0] cc;
output wire [n - 1:0] dr;
output wire [n - 1:0] dc;
reg [n - 1:0] ar_reg;
reg [n - 1:0] ac_reg;
wire mul_rdy;
wire [n - 1:0] tr;
wire [n - 1:0] tc;
FpcmultVRTL #(
.n(n),
.d(d)
) mul(
.clk(clk),
.reset(reset),
.ar(br),
.ac(bc),
.br(wr),
.bc(wc),
.cr(tr),
.cc(tc),
.recv_val(recv_val),
.recv_rdy(recv_rdy),
.send_val(send_val),
.send_rdy(send_rdy)
);
always @(posedge clk)
if (reset) begin
ar_reg = 0;
ac_reg = 0;
end
else if (recv_rdy) begin
ar_reg = ar;
ac_reg = ac;
end
else begin
ar_reg = ar_reg;
ac_reg = ac_reg;
end
always @(*) begin
cr = ar_reg + tr;
cc = ac_reg + tc;
dr = ar_reg - tr;
dc = ac_reg - tc;
end
endmodule
Any idea on how to fix this? Thank you so much in advance!Will S
02/27/2023, 1:43 AMMatt Venn
02/28/2023, 1:28 PMmilad
03/01/2023, 6:23 PMVijayan Krishnan
03/13/2023, 5:46 AMDinesh A
03/16/2023, 4:29 PMmilad
03/18/2023, 9:46 AMINFO GPL-0030] NumBins: 16
[ERROR GPL-0304] RePlAce diverged at initial iteration. Re-run with a smaller init_density_penalty value.
Error: global_place.tcl, 49 GPL-0304
Command exited with non-zero status 1
Elapsed time: 0:00.49[h:]min:sec. CPU time: user 0.45 sys 0.03 (98%). Peak memory: 99240KB.
make[1]: *** [Makefile:411: /home/milad/EDA/openfasoc/openfasoc/generators/my-design/flow/results/sky130hd/PLL//3_3_place_gp.odb] Error 1
make[1]: Leaving directory '/home/milad/EDA/openfasoc/openfasoc/generators/my-design/flow'
[Error] Placement failed. Refer to the log file
make: *** [Makefile:11: sky130hd_pll] Error 1
Ahmar Khan
03/31/2023, 5:29 PMWill Ch
04/03/2023, 9:24 PMDinesh A
04/04/2023, 3:42 PM[ERROR]: during executing: "python3 /openlane/scripts/klayout/stream_out.py --output /home/dinesha/workarea/opencore/git/riscduino_dcore/openlane/wb_interconnect/runs/wb_interconnect/results/signoff/wb_interconnect.klayout.gds --lyt /opt/pdk_mpw9/sky130A/libs.tech/klayout/tech/sky130A.lyt --lym /opt/pdk_mpw9/sky130A/libs.tech/klayout/tech/sky130A.map --lyp /opt/pdk_mpw9/sky130A/libs.tech/klayout/tech/sky130A.lyp --top wb_interconnect --with-gds-file /opt/pdk_mpw9/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds --input-lef /home/dinesha/workarea/opencore/git/riscduino_dcore/openlane/wb_interconnect/runs/wb_interconnect/tmp/merged.nom.lef /home/dinesha/workarea/opencore/git/riscduino_dcore/openlane/wb_interconnect/runs/wb_interconnect/results/routing/wb_interconnect.def |& tee /dev/null /home/dinesha/workarea/opencore/git/riscduino_dcore/openlane/wb_interconnect/runs/wb_interconnect/logs/signoff/32-gdsii-klayout.log"
[ERROR]: Exit code: 1
[ERROR]: Last 10 lines:
Warning: No mapping for layer 'pwell', purpose 'LEFOBS' - layer is ignored
'NoneType' object has no attribute 'cell_index'
child process exited abnormally
[ERROR]: Step(32:gds_klayout) failed with error:
-code 1 -level 0 -errorcode NONE -errorinfo {
while executing
"throw_error"
(procedure "try_exec" line 17)
invoked from within
"try_exec python3 $::env(SCRIPTS_DIR)/klayout/stream_out.py --output $klayout_out --lyt $::env(KLAYOUT_TECH) --lym $::env(KLAYOUT_DEF_LAYER_MAP) --lyp ..."
(procedure "run_klayout" line 22)
invoked from within
"run_klayout"
(procedure "run_klayout_step" line 3)
invoked from within
Vijayan Krishnan
04/17/2023, 7:08 AMopenroad
binary from here: https://github.com/Precision-Innovations/OpenROAD/actions/workflows/github-actions-build-deb-package.yml. Only support Ubuntu20.04/22.04 and Debian 10/11.Tayyeb Mahmood
04/26/2023, 3:03 AMMatthew Guthaus
05/01/2023, 5:09 PMMatt Venn
05/03/2023, 1:26 PMMatteo Mannis (Felucco)
05/03/2023, 2:01 PMMatteo Mannis (Felucco)
05/05/2023, 3:54 PMPotatoInt
05/08/2023, 7:01 AMyifei zhu
05/12/2023, 1:47 PMSlackbot
05/23/2023, 5:14 PMDinesh A
05/25/2023, 6:40 AMIndira Iyer
06/08/2023, 9:49 PM