this is the latest error that i am getting. can an...
# sky130
a
this is the latest error that i am getting. can anyone help me out please? % run_placement [INFO]: Running Placement... [INFO]: Running Global Placement... [INFO]: current step index: 9 OpenROAD 1 f0e6c04818f120fcd15c29735a398d3cd420bd78 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. [INFO ODB-0222] Reading LEF file: /openLANE_flow/designs/vsdserializer_v1/runs/26-08_11-26/tmp/merged_unpadded.lef [WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later. The LEF parser will ignore this statement. To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /openLANE_flow/designs/vsdserializer_v1/runs/26-08_11-26/tmp/merged_unpadded.lef at line 794. [INFO ODB-0223] Created 13 technology layers [INFO ODB-0224] Created 25 technology vias [INFO ODB-0225] Created 441 library cells [INFO ODB-0226] Finished LEF file: /openLANE_flow/designs/vsdserializer_v1/runs/26-08_11-26/tmp/merged_unpadded.lef [INFO ODB-0127] Reading DEF file: /openLANE_flow/designs/vsdserializer_v1/runs/26-08_11-26/tmp/floorplan/6-pdn.def [INFO ODB-0128] Design: vsdserializer_v1 [INFO ODB-0130] Created 15 pins. [INFO ODB-0131] Created 45 components and 246 component-terminals. [INFO ODB-0132] Created 2 special nets and 170 connections. [INFO ODB-0133] Created 34 nets and 76 connections. [INFO ODB-0134] Finished DEF file: /openLANE_flow/designs/vsdserializer_v1/runs/26-08_11-26/tmp/floorplan/6-pdn.def [INFO GPL-0002] DBU: 1000 [INFO GPL-0003] SiteSize: 460 2720 [INFO GPL-0004] CoreAreaLxLy: 5520 10880 [INFO GPL-0005] CoreAreaUxUy: 31740 35360 [INFO GPL-0006] NumInstances: 45 [INFO GPL-0007] NumPlaceInstances: 22 [INFO GPL-0008] NumFixedInstances: 23 [INFO GPL-0009] NumDummyInstances: 0 [INFO GPL-0010] NumNets: 34 [INFO GPL-0011] NumPins: 89 [INFO GPL-0012] DieAreaLxLy: 0 0 [INFO GPL-0013] DieAreaUxUy: 37650 48370 [INFO GPL-0014] CoreAreaLxLy: 5520 10880 [INFO GPL-0015] CoreAreaUxUy: 31740 35360 [INFO GPL-0016] CoreArea: 641865600 [INFO GPL-0017] NonPlaceInstsArea: 73820800 [INFO GPL-0018] PlaceInstsArea: 354089600 [INFO GPL-0019] Util(%): 62.33 [INFO GPL-0020] StdInstsArea: 354089600 [INFO GPL-0021] MacroInstsArea: 0 [InitialPlace] Iter: 1 CG Error: 0.00000011 HPWL: 815940 [InitialPlace] Iter: 2 CG Error: 0.00000006 HPWL: 691008 [InitialPlace] Iter: 3 CG Error: 0.00000005 HPWL: 690435 [InitialPlace] Iter: 4 CG Error: 0.00000009 HPWL: 692352 [InitialPlace] Iter: 5 CG Error: 0.00000011 HPWL: 694230 [ERROR GPL-0302] Use a higher -density or re-floorplan with a larger core area. Given target density: 0.55 Suggested target density: 0.62 Error: or_replace.tcl, 93 GPL-0302 [ERROR]: during executing: "openroad -exit /openLANE_flow/scripts/openroad/or_replace.tcl |& tee >&@stdout /openLANE_flow/designs/vsdserializer_v1/runs/26-08_11-26/logs/placement/9-replace.log" [ERROR]: Exit code: 1 [ERROR]: Last 10 lines: child process exited abnormally [ERROR]: Please check openroad log file [ERROR]: Dumping to /openLANE_flow/designs/vsdserializer_v1/runs/26-08_11-26/error.log
m
@A Devipriya How about this?
[ERROR GPL-0302] Use a higher -density or re-floorplan with a larger core area.
a
I didn't understand how to solve that actually.
Can you please help me with this.!??
m
Is there a density setting in your config file?
a
No no. I don't have
This is what is there in config file : # User config set ::env(DESIGN_NAME) "vsdserializer_v1" # Change if needed set ::env(VERILOG_FILES) "./designs/vsdserializer_v1/src/vsdserializer_v1.v" # Fill this set ::env(CLOCK_PERIOD) "10" set ::env(CLOCK_PORT) "clk" set ::env(CLOCK_NET) "clk" set ::env(CLOCK_NET) $::env(CLOCK_PORT) set filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl if { [file exists $filename] == 1} { source $filename }
m
Probably using the default density. See if there's a variable in
vsdserializer_v1/runs/*/config.tcl
that you can add to your config file. That contains all the config variables (including the defaults), I believe.
a
Thank you so much. I will check it out with this.
the density issue is cleared now, thanks a lot for that.
now i am having another error : [ERROR]: during executing: "openroad -exit /openLANE_flow/scripts/openroad/or_opendp.tcl |& tee >&@stdout /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/logs/placement/10-opendp.log" [ERROR]: Exit code: 1 [ERROR]: Last 10 lines: child process exited abnormally [ERROR]: Please check openroad log file [ERROR]: Dumping to /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/error.log [INFO]: Calculating Runtime From the Start... [INFO]: flow failed for vsdserializer_v1/26-08_14-44 in 0h0m22s [INFO]: Generating Final Summary Report... [ERROR]: during executing: "openroad -python /openLANE_flow/report_generation_wrapper.py -d /openLANE_flow/designs/vsdserializer_v1 -dn vsdserializer_v1 -t 26-08_14-44 -o /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/reports/final_summary_report.csv -m /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/reports/manufacturability_report.rpt -rs /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/reports/runtime_summary_report.rpt -r /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44" [ERROR]: Exit code: 1 [ERROR]: Last 10 lines: Traceback (most recent call last): File "<string>", line 1, in <module> EOFError: EOF when reading a line grep: /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/logs/routing/fastroute.log: No such file or directory sed: can't read /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/logs/routing/fastroute.log: No such file or directory sed: can't read /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/logs/routing/fastroute.log: No such file or directory sed: can't read /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/logs/routing/fastroute.log: No such file or directory sed: can't read /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/logs/routing/fastroute.log: No such file or directory sed: can't read /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/logs/routing/fastroute.log: No such file or directory sed: can't read /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/logs/routing/fastroute.log: No such file or directory [ERROR]: Please check openroad log file [ERROR]: Dumping to /openLANE_flow/designs/vsdserializer_v1/runs/26-08_14-44/error.log while executing "try_catch $::env(OPENROAD_BIN) -python $::env(OPENLANE_ROOT)/report_generation_wrapper.py -d $::env(DESIGN_DIR) -dn $::env(DESIGN_NAME) -t $::env(RU..." (procedure "generate_final_summary_report" line 16) invoked from within "generate_final_summary_report" (procedure "flow_fail" line 5) invoked from within "flow_fail" (procedure "try_catch" line 25) invoked from within "try_catch $::env(OPENROAD_BIN) -exit $::env(SCRIPTS_DIR)/openroad/or_opendp.tcl |& tee $::env(TERMINAL_OUTPUT) [index_file $::env(opendp_log_file_tag)..." (procedure "detailed_placement_or" line 6) invoked from within "detailed_placement_or" (procedure "run_placement" line 25) invoked from within "run_placement" (procedure "run_placement_step" line 11) invoked from within "[lindex $step_exe 0] [lindex $step_exe 1] " (procedure "run_non_interactive_mode" line 43) invoked from within "run_non_interactive_mode {*}$argv" invoked from within "if { [info exists flags_map(-interactive)] || [info exists flags_map(-it)] } { puts_info "Running interactively" if { [info exists arg_values(-file)..." (file "/openLANE_flow/flow.tcl" line 351)
it says issues with some path or something. can anyone please help me out with this?
it got fixed. thank you very much.
m
@A Devipriya If it's not too much trouble, could you post what you did to fix it in case other people run into the same problem?