Mauricio Montanares
10/13/2021, 4:17 PM//open yosys
$ yosys
//read the library
$ read_liberty -lib ../sky130_fd_sc_hd__tt_025C_1v80.lib
//read theverilog code
$ read_verilog Abs.v
//Synthesis process
$ synth -top Abs
//netlist generation
$ abc -liberty ../sky130_fd_sc_hd__tt_025C_1v80.lib
//write the netlist file
$ write_verilog -noattr abs_net.v
// close yosys
$ exit
Now on the terminal
iverilog ../primitives.v ../sky130_fd_sc_hd.v abs_net.v tb_abs.v
And I get these errors:
../sky130_fd_sc_hd.v:37285: syntax error
../sky130_fd_sc_hd.v:37285: error: invalid module item.
can anyone help me with this?Matt Venn
10/13/2021, 5:45 PMMauricio Montanares
10/13/2021, 6:39 PMTim Edwards
10/13/2021, 6:40 PMMauricio Montanares
10/13/2021, 6:46 PMTim Edwards
10/13/2021, 6:47 PMKrzysztof Herman
10/13/2021, 8:47 PMset ::env(SYNTH_FLAT_TOP) 1