I'm getting this error while running make user_pro...
# sky130
j
I'm getting this error while running make user_proj_example for my custom design. I assume my die area is less than what is required. I set die area 0 0 2000 2000. Can someone tell what are the coordinates to utilize all the user project area? And this error really is due to less die are or due to something else?
v
share openroad_issue_reproducible generated during run time from openlane
File a github issue in openlane, so it will be easy for track
j
I have not created any github repo yet I have just placed my verilog file in rtl folder and make some changes in config.tcl present here /home/em/mpw/caravel_user_project/openlane/user_proj_example. So what which file you want me to show you?
r
I believe that this can happen if the target density is chosen too high. Try something like: set ::env(PL_TARGET_DENSITY) 0.2 in config.tcl. You may also have to do something like set ::env(FP_CORE_UTIL) "27" at the same time, but I'm not sure if that's actually needed. And which values are good for your design is also not clear. If you set the target density too low, at least you seem to be getting an error message about this during the workflow.
v
@User share complete log in the terminal, so I can guide you, which file to attach for debugging the issue
j
[INFO]: Routing... Current DEF: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/cts/13-resizer_timing.def Routing Current DEF: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/cts/13-resizer_timing.def [INFO]: Skipping Resizer Timing Optimizations. [INFO]: Incremented step index to 14. [INFO]: Running Diode Insertion... OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. [INFO ODB-0222] Reading LEF file: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef [INFO ODB-0223] Created 13 technology layers [INFO ODB-0224] Created 25 technology vias [INFO ODB-0225] Created 441 library cells [INFO ODB-0226] Finished LEF file: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/merged.lef [INFO ODB-0127] Reading DEF file: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/cts/13-resizer_timing.def [INFO ODB-0128] Design: Core [INFO ODB-0094] Created 100000 Insts [INFO ODB-0130] Created 176 pins. [INFO ODB-0131] Created 158693 components and 382431 component-terminals. [INFO ODB-0132] Created 2 special nets and 344972 connections. [INFO ODB-0133] Created 11292 nets and 37459 connections. [INFO ODB-0134] Finished DEF file: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/cts/13-resizer_timing.def Design name: Core Inserted 14182 diodes. [INFO]: Changing layout from /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/cts/13-resizer_timing.def to /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/15-diodes.def [INFO]: Incremented step index to 15. [INFO]: Running Detailed Placement... OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. [INFO ODB-0222] Reading LEF file: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef [INFO ODB-0223] Created 13 technology layers [INFO ODB-0224] Created 25 technology vias [INFO ODB-0225] Created 441 library cells [INFO ODB-0226] Finished LEF file: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/merged_unpadded.lef [INFO ODB-0127] Reading DEF file: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/15-diodes.def [INFO ODB-0128] Design: Core [INFO ODB-0094] Created 100000 Insts [INFO ODB-0130] Created 176 pins. [INFO ODB-0131] Created 182492 components and 501426 component-terminals. [INFO ODB-0132] Created 2 special nets and 440168 connections. [INFO ODB-0133] Created 11292 nets and 61258 connections. [INFO ODB-0134] Finished DEF file: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/tmp/routing/15-diodes.def [INFO DPL-0034] Detailed placement failed on: [INFO DPL-0035] ANTENNA__16421__A1 [INFO DPL-0035] ANTENNA__16421__B2 [INFO DPL-0035] ANTENNA__19468__D [INFO DPL-0035] ANTENNA__19468__D [INFO DPL-0035] ANTENNA__19468__D [INFO DPL-0035] ANTENNA__19468__D [INFO DPL-0035] ANTENNA_clkbuf_leaf_24_clock_A [INFO DPL-0035] ANTENNA_clkbuf_leaf_24_clock_A [INFO DPL-0035] ANTENNA_rebuffer20_A [INFO DPL-0035] ANTENNA_rebuffer49_A [INFO DPL-0035] ANTENNA_rebuffer64_A [INFO DPL-0035] ANTENNA_rebuffer64_A [INFO DPL-0035] ANTENNA_rebuffer88_A [INFO DPL-0035] ANTENNA_rebuffer88_A [INFO DPL-0035] ANTENNA_rebuffer88_A [ERROR DPL-0036] Detailed placement failed. Error: opendp.tcl, 32 DPL-0036 [ERROR]: during executing openroad script /openlane/scripts/openroad/opendp.tcl [ERROR]: Exit code: 1 [ERROR]: Last 10 lines: child process exited abnormally [INFO]: Creating reproducible... [INFO]: Saving runtime environment... or_issue.py OpenROAD Issue Packager EFABLESS CORPORATION AND ALL AUTHORS OF THE OPENLANE PROJECT SHALL NOT BE HELD LIABLE FOR ANY LEAKS THAT MAY OCCUR TO ANY PROPRIETARY DATA AS A RESULT OF USING THIS SCRIPT. THIS SCRIPT IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND. BY USING THIS SCRIPT, YOU ACKNOWLEDGE THAT YOU FULLY UNDERSTAND THIS DISCLAIMER AND ALL IT ENTAILS. Parsing config file(s)… Setting up /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/openroad_issue_reproducible… [FIN] Done. [INFO]: Reproducible packaged: Please tarball and upload user_proj_example/runs/user_proj_example/openroad_issue_reproducible if you're going to submit an issue. [INFO]: Calculating Runtime From the Start... [INFO]: Generating Final Summary Report... [INFO]: Design Name: Core Run Directory: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example Source not found. ---------------------------------------- LVS Summary: Source: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/logs/finishing/Core.lvs.lef.log Source not found. ---------------------------------------- Antenna Summary: No antenna report found. [INFO]: check full report here: /home/em/mpw/caravel_user_project/openlane/user_proj_example/runs/user_proj_example/reports/final_summary_report.csv [INFO]: Saving runtime environment... [ERROR]: Flow failed. while executing "flow_fail" (procedure "run_openroad_script" line 62) invoked from within "run_openroad_script $::env(SCRIPTS_DIR)/openroad/opendp.tcl -indexed_log $log" (procedure "detailed_placement_or" line 15) invoked from within "detailed_placement_or -def $::env(CURRENT_DEF) -log $::env(routing_logs)/diode_legalization.log" (procedure "ins_diode_cells_4" line 25) invoked from within "ins_diode_cells_4" (procedure "run_routing" line 46) invoked from within "run_routing" (procedure "run_routing_step" line 7) invoked from within "[lindex $step_exe 0] [lindex $step_exe 1] " (procedure "run_non_interactive_mode" line 55) invoked from within "run_non_interactive_mode {*}$argv" invoked from within "if { [info exists flags_map(-interactive)] || [info exists flags_map(-it)] } { puts_info "Running interactively" puts_info "Note, that post_run_hook..." (file "/openlane/flow.tcl" line 412) make[1]: * [Makefile43 user_proj_example] Error 1 make[1]: Leaving directory '/home/em/mpw/caravel_user_project/openlane' make: * [Makefile69 user_proj_example] Error 2
Can you figure it out from this? @User
Its difficult to show you the complete terminal output
v
user_proj_example/runs/user_proj_example/openroad_issue_reproducible
zip the above directory attach here and possible raise a github issue
@User
v
Yes follow up in github issue... Thanks for the update