<@U016G1URZGA>, it seems VERILOG_INCLUDE_DIRS is n...
# analog-design
u
@User, it seems VERILOG_INCLUDE_DIRS is not supported on this release of the scripts, I guess I can copy open road implementation to synth.tcl & synth_top.tcl
Copy code
set vIdirsArgs ""
if {[info exist ::env(VERILOG_INCLUDE_DIRS)]} {
  foreach dir $::env(VERILOG_INCLUDE_DIRS) {
    lappend vIdirsArgs "-I$dir"
  }
  set vIdirsArgs [join $vIdirsArgs]
}


# read verilog files
foreach file $::env(VERILOG_FILES) {
  read_verilog -defer -sv {*}$vIdirsArgs $file
m
That would make sense. I took a note. Feel free to put an issue even if it an enhancement
👍 1
u
just realized I sent to the wrong channel, if this can be moved, great, otherwise, apologies for the spam.