Hi, I want to know if openlane supports on-the-fly...
# openlane
n
Hi, I want to know if openlane supports on-the-fly configuration changes. For instance: for a design, say picorv32a, I chose my 
FP_CORE_UTIL
 as 50 (in ../picorv32a/config.tcl) and then I do till run_floorplan stage (in interactive flow) but now I want to change 
FP_CORE_UTIL
 to 60. So in this case, post modifying config.tcl, do I need to run from the beginning of flow or just running run_floorplan again take 
FP_CORE_UTIL
 as 60 ? Because the latter isn't updating my config.tcl (../openlane/designs/runs/_date_/config.tcl).
a
Yes, you can do that. If you'd like the new value to be logged in the config.tcl file under the run tag, use
set_log
instead of
set
while setting
FP_CORE_UTIL
.
n
Thanks @Ahmed Ghazy..will try and let you know
Hi @Ahmed Ghazy.. tried the suggested..I initially ran with
FP_IO_VMETAL
2..then modified
FP_IO_VMETAL
to 4...post modification ran only *run_floorplan..*the modifications weren't reflected in the layout as the vertical pins were still as per
FP_IO_VMETAL
2