I am getting this error and now sure how to fix it...
# openlane
s
I am getting this error and now sure how to fix it?
Before that are all these message
t
Try changing the die area,
CORE_UTIL
or something like that. You can try changing the target density too. If that doesn't do it, you can try going to strategy 1 for diode insertion (although that probably will result in antenna violation you will need to manually fix in the tapeout results)
👍 1