In the latest build of openlane I'm having issues ...
# openlane
h
In the latest build of openlane I'm having issues running sta and also getting the following errors, how can I resolve this?
m
@Haseeb Baloch Does the basic test
./flow.tcl -design spm
work? Just from the output you posted, I'm guessing you don't have write permission for the directory.
h
Everything is working except sta
Which works randomly sometime producing results sometimes not
m
I'm guessing that the
Error: cannot open './sta'
(or
'/.openroad'
) is actually a warning (no default settings) and not related to the problem. Do you get any other output?
h
Yes there was actually another syntax error in my base.sdc which was causing the issue, it's working fine now
Thanks @Mitch Bailey