Hopefully not bringing up an old question here, bu...
# openlane
k
Hopefully not bringing up an old question here, but something that strikes me when reading the the docs is how there is a singular CLOCK_PERIOD and CLOCK_NET/PORT variable. Are designs with multiple clocks not supported?
m
There is only support for one clock in openroad. Bu i think there are plans to enhance that.
k
Thanks! Not a problem for me right now, but good to know.
but not really looked into it