Could somebody help me on this?
# openlane
d
Could somebody help me on this?
v
Its look like core utilization 100% reached. Try with increase your core_util or increase your die_area. Still facing issue, raise GitHub issue with RTL file and the error log message.
d
Thank Vijay. May I know how to edit core util. Since I didn't mention any constraint in config file
v
more ./designs/recursive_stage1/config.tcl Just share the above file
or refer ./designs/recursive_stage1/runs/23-09_05-29/config.tcl more configuration details
d
inside run dir.
inside design config file
v
Copy code
set ::env(FP_CORE_UTIL) "49"
Decrease above value to 40
Copy code
set ::env(PL_TARGET_DENSITY) "0.54"
increase this value to 0.6 and try Keep modify these value and re-run flow to resolve congestion issue
Add above lines in ./designs/recursive_stage1/config.tcl
d
I have this file also but i didn't used this file. could you please explain am I need to use this file for editing the core values?
v
if this configuration working mean update those values in config.tcl and try it
d
The change in json file not reflecting that's why I didn't use the file.
Even in config file also its not reflecting. it always choosing the same values as 48 and 0.54
v
Just edit in config.tcl and paste this and try it. # Design set ::env(DESIGN_NAME) "recursive_stage1" set ::env(VERILOG_FILES) [glob ./designs/recursive_stage1/src/*.v] set ::env(CLOCK_PERIOD) "10.000" set ::env(CLOCK_PORT) "clk" set ::env(CELL_PAD) 4 set ::env(RUN_SPEF_EXTRACTION) 0 set ::env(FP_CORE_UTIL) "65" set ::env(PL_TARGET_DENSITY) "0.7" set ::env(SYNTH_MAX_FANOUT) 6 # set ::env(FP_PIN_ORDER_CFG) $::env(OPENLANE_ROOT)/designs/spm/pin_order.cfg set filename ./designs/$::env(DESIGN_NAME)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl if { [file exists $filename] == 1} { source $filename }
Add above lines in ./designs/recursive_stage1/config.tcl location will overwrite default value
d
Its still same the value is not getting reflected in run directory it always showing 49 and 0.54 for the mentioned parameters
config.tcl
v
which path config.tcl are you updating? what is the command are you using to run the flow?
d
Path for config : /design/recursive_stage1/config.tcl run command : ./flow.tcl -design recursive_stage1
v
design/recursive_stage1/config.tcl just display here
d
config.tcl
the error message got changed from 116 % util to 67% and still target density has error. but tcl file has no effect.
v
Copy code
set ::env(FP_CORE_UTIL) "40"
Update and run
d
sky130A_sky130_fd_sc_hd_config.tcl file has replacing the values given, after change values in that tcl it got reflected. but got new error as
v
Raise GitHub issue in Openlane
d
thank you vijay for your help and valuable time. I'll raise it.