Could anyone help me in how to view or how to chec...
# openlane
d
Could anyone help me in how to view or how to check area power and timing report after synthesis using yosys?
m
you won't get power after synthesis AFAIK, but you can get an area ESTIMATE by looking at the report
for example: runs/22-09_10-23/reports/synthesis/1-yosys_4.stat.rpt
d
Could you please explain me when and where I need to check the reports.
m
After you run the tools you get a new directory in the runs directory
Check that to see the most important
w
@Matt Venn Actually you can get the power after synthesis. There is a file
openlane/scripts/sta.tcl
In there you would find a line
#report_power
If you uncomment this line, it would tell you the power after synthesis. @Dhayalakumar M
👍 3
Somthing like that
d
thank you venn and hasan.
👍 2