Hello to all, I have a question about netlist gene...
# openlane
k
Hello to all, I have a question about netlist generation for GL simulation using testbench available in caravel_user_project. Do I have to use any special flow settings ? Which netlist shall I use, because in results/synthesis there are a few ones generated at different levels of the flow? Should be the netlist powered ?
m
you should copy your powered lvs netlist to the verilog/gl directory (it might get copied there automatically - not sure)
then you run the test like this: SIM=GL make
k
Hi @Matt Venn, in fact I am outside the caravel_user_project running a custom design using OpenLane. during the flow I get something like this: merged_unpadded.lef xxx.synthesis_cts.v xxx.synthesis_optimized.v xxx.synthesis_preroute.v xxx.synthesis.v
where xxx is the name of the module I use as top-one
optimized, cts and preroute comes with power pins
which one in Your opinion shall I use
m
The powered lvs one in the results/magic directory
k
I found it under results/lvs/ suppose is the same
๐Ÿ‘ 1
I wll estup the simulation and feedback You whether it works or not.
m
Check the /verilog/dv/ examples for the right iverilog arguments
k
I use the same makefile
... waiting for the final netlist because I have to synthesize whole project without macros > 60000 cells
m
Good luck!
k
seems to work
๐Ÿ‘ 1
however looking for the signals in GTKWave is way much hard
once you treat it as a black box all is ok
Once more thank's for Your help!
๐Ÿ‘ 1