Is it possible to use sky130_fd_sc_hvl with openla...
# openlane
c
Is it possible to use sky130_fd_sc_hvl with openlane? I want to make a design that has 3.3V for some parts of it.
v
yes @User .In config.tcl add the following
set ::env(STD_CELL_LIBRARY) sky130_fd_sc_hvl
c
Do you know if there are any examples of this. I made a copy of the inverter example from openlane and added that line to config.tcl but when I run the flow the synthesis gives me an error.
15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. cell sky130_fd_sc_hvl__dfxtp_1 (noninv, pins=3, area=48.84) is a direct match for cell type $_DFF_P_. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. cell sky130_fd_sc_hvl__dfrtp_1 (noninv, pins=4, area=62.52) is a direct match for cell type $_DFF_PN0_. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. cell sky130_fd_sc_hvl__dfstp_1 (noninv, pins=4, area=60.56) is a direct match for cell type $_DFF_PN1_. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfrtp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfsbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfstp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxbp_1' - skipping. Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hvl__sdfxtp_1' - skipping. final dff cell mappings: unmapped dff cell: $_DFF_N_ \sky130_fd_sc_hvl__dfxtp_1 _DFF_P_ (.CLK( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ \sky130_fd_sc_hvl__dfrtp_1 _DFF_PN0_ (.CLK( C), .D( D), .Q( Q), .RESET_B( R)); \sky130_fd_sc_hvl__dfstp_1 _DFF_PN1_ (.CLK( C), .D( D), .Q( Q), .SET_B( R)); unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ 15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). Mapping DFF cells in module `\inverter': 16. Printing statistics. === inverter === Number of wires: 2 Number of wire bits: 2 Number of public wires: 2 Number of public wire bits: 2 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 1 $_NOT_ 1 [INFO]: USING STRATEGY AREA 0 17. Executing ABC pass (technology mapping using ABC). 17.1. Extracting gate netlist of module `\inverter' to `/tmp/yosys-abc-7lSiIC/input.blif'.. Extracted 1 gates and 2 wires to a netlist network with 1 inputs and 1 outputs. 17.1.1. Executing ABC. Running ABC command: /build/bin/yosys-abc -s -f /tmp/yosys-abc-7lSiIC/abc.script 2>&1 ABC: ABC command line: "source /tmp/yosys-abc-7lSiIC/abc.script". ABC: ABC: + read_blif /tmp/yosys-abc-7lSiIC/input.blif ABC: + read_lib -w /openlane/designs/inverter-hv/runs/RUN_2022.03.26_14.47.58/tmp/synthesis/trimmed.lib ABC: Reading SCL library from file "/openlane/designs/inverter-hv/runs/RUN_2022.03.26_14.47.58/tmp/synthesis/trimmed.lib" has failed. ABC: ** cmd error: aborting 'source /tmp/yosys-abc-7lSiIC/abc.script' ABC: Parsing finished successfully. Parsing time = 0.07 sec ABC: Scl_LibertyReadGenlib() skipped cell "sky130_fd_sc_hvl__decap_4" without logic function. ABC: Scl_LibertyReadGenlib() skipped cell "sky130_fd_sc_hvl__decap_8" without logic function. ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__dfrbp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__dfrtp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__dfsbp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__dfstp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__dfxbp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__dfxtp_1". ABC: Scl_LibertyReadGenlib() skipped cell "sky130_fd_sc_hvl__diode_2" without logic function. ABC: Scl_LibertyReadGenlib() skipped cell "sky130_fd_sc_hvl__dlclkp_1" without logic function. ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__dlrtp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__dlxtp_1". ABC: Scl_LibertyReadGenlib() skipped three-state cell "sky130_fd_sc_hvl__einvn_1". ABC: Scl_LibertyReadGenlib() skipped three-state cell "sky130_fd_sc_hvl__einvp_1". ABC: Scl_LibertyReadGenlib() skipped cell "sky130_fd_sc_hvl__probe_p_8" due to dont_use attribute. ABC: Scl_LibertyReadGenlib() skipped cell "sky130_fd_sc_hvl__probec_p_8" due to dont_use attribute. ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__sdfrbp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__sdfrtp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__sdfsbp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__sdfstp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__sdfxbp_1". ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__sdfxtp_1". ABC: Scl_LibertyReadGenlib() skipped cell "sky130_fd_sc_hvl__sdlclkp_1" without logic function. ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hvl__sdlxtp_1". ABC: Scl_LibertyReadGenlib() skipped cell "sky130_fd_sc_hvl__lsbufhv2lv_1" due to dont_use attribute. ABC: Template cannot be found in the template library ABC: Template cannot be found in the template library ABC: Table cannot be found ABC: Memory = 6.88 MB. Time = 0.10 sec ERROR: Can't open ABC output file `/tmp/yosys-abc-7lSiIC/output.blif'.