Hi, does anyone have any tips for how to debug a “...
# openroad
n
Hi, does anyone have any tips for how to debug a “Routing congestion too high” error during global routing? I’ve tried increasing the die size quite a bit (got my design down to 2% utilization), but still seeing this problem. I’ve tried tweaking various config numbers as well, like the global route padding and global routing layer adjustments. Is there a way to get more detailed output (e.g. where in the design the congestion is occurring)? Thanks!
m
Did you also adjust the PL_TARGET_DENSITY? This will spread out cells rather than just reserve global routing space through the adjustments.
n
I just ran some experiments adjusting the placement target density and while it doesn’t seem to be affecting cell placement, the router is still reporting things being too congested. I tried values of 0.6, 0.3, 0.1 and 0.01.
I do notice even with low densities cells are being placed fairly close to macros. Could that be problematic here?
m
if your macros are too close together that can be an issue for congestion
m
you can also increase the halo around the macro for improved pin access