Aryam Mann
06/25/2024, 6:44 AMcreate_clock -name clk -period 10.0 [get_ports clk]
set_input_delay -clock clk 2.0 [get_ports in]
set_output_delay -clock clk 2.0 [get_ports out]
design.v
module inverter(input wire in, output wire out);
assign out = !in;
endmodule
Vijayan Krishnan
06/25/2024, 6:48 AMlinter.log
Aryam Mann
06/25/2024, 7:01 AM