set PD_SCL_period 1000.0 set AD_OSC_period 333 cr...
# caravel
m
set PD_SCL_period 1000.0 set AD_OSC_period 333 create_clock -name PD_SCL -period $PD_SCL_period [get_ports PD_SCL] -waveform {0 500} create_clock -name AD_OSC -period $AD_OSC_period [get_ports AD_OSC] -waveform {0 166} create_generated_clock -name AD_OSC_div2_clk -source AD_OSC [get_pins control/count_reg_reg_0_/Q] -divide_by 2 -master_clock AD_OSC -add create_generated_clock -name AD_OSC_div1024_clk -source AD_OSC [get_pins control/count_reg_reg_9_/Q] -divide_by 1000 -master_clock AD_OSC -add