Hello, I am am trying to get a ring oscillator wor...
# openlane
t
Hello, I am am trying to get a ring oscillator working in openlane/caravel, but the yosys synth script seems to be optimizing out and replacing them with buf_2 primitives. I have tried a couple things such as adding keeps for the following: -for the wires of the inverters -on the the module instantiating the oscillator -on for the generate block instantiating the sky130_fd_sc_hd__inv_2 primitives for the oscillator. I have also turned off synth_buffering just to test does anyone have any advice for how to make yosys leave these inverters alone?
a
In verilog: (keep) reg [1023:0] inv_out;
It worked in the past. Make sure you have it connected to the output.
t
@Arman Avetisyan out of curiosity, do you know how to turn off yosys optimizations completely. We want to test this as well
a
change the synthesis script to disable the optimizations
no other way. Even if there is a way, you are going to get the answer to your question in the script
t
Makes sense, I disabled/commented these options in the synth script and found that some logic optimization still happened
as well as this line
a
i am sure its not the only ones, you need to check with yosys documentation
one more thing: make sure you are using odd number of inverters, otherwise it might synthesize buffers
also, you can debug it by writing dot files to show the structure that yosys sees/generates
🙌 1
m
we've also found that instantiating as a standard cell stops optimisation
t
Here is what we were trying to do and found it minimizes our ring oscillator regardless of where we end up putting keeps. One thing of note, we are trying to compile this using the caravel version of openlanes flow for one of the chipignite shuttles, are there any additional scripts trying to minimize the logic in this flow/is there any way to perhaps disable abc's advanced optimization?
edit: early in the process we had the sky130 primitives as a verilog include in our config.json. removing this include and replacing it with a blackbox caused the oscillator to not be optimized out.
m
the only other thing I had to do with this method
is enable support for blackbox cells with this line of config
set ::env(SYNTH_READ_BLACKBOX_LIB) 1
t
semi-related, but did you ever figure out how to do post layout simulation for your ring oscillator and/or STA on a specific path for the oscillator. I remember seeing a post about this when searching.
m
yes, see the repo I linked above