I'm trying to get systemverilog support working, w...
# openlane
s
I'm trying to get systemverilog support working, which involves using `uhdm-yosys instead of
yosys
. However, when I run
flow.tcl
it gives me an error of
invalid command name: "read_systemverilog"
. What's the right way to get it working?` `I'm setting the binary to
uhdm-yosys
using
"SYNTH_BIN": "uhdm-yosys",
, and I'm specifying my own version of
synth.tcl
.`
v
To get core team support, start your discussion here: https://github.com/The-OpenROAD-Project/OpenLane/discussions. Otherway you can pass your netlist directly to OpenLane flow as blackbox to skip yosys based synthesis in the flow.
s
Thanks, I'll ask questions there.
i
@Sean Cross Did you find a solution?
yosys -import
creates TCL aliases for every possible Yosys command. I could change it to run
yosys read_systemverilog ...
instead of running
yosys -import
.
Note that there is an outstanding issue to remove
yosys-uhdm
from conda-hdl in favour of just adding the plugin to
yosys, but that hasn't been completed yet.