Hello, I am new to this and still learning. I am c...
# openlane-2
p
Hello, I am new to this and still learning. I am currently getting this error, where can I find more information on my error and how to solve it? I am just trying to run the flow for a very simple sv circuit. ``default_nettype none` module Circuit ( output var logic b, input var logic clk, input var logic enable, input var logic a ); always_ff @(posedge clk) if (enable) b <= a; else b <= 0; endmodule
[18:22:27] ERROR    Subprocess had a non-zero exit.                                                                                                                                                                                                                     step.py:1170
[182227] ERROR Last 10 line(s): step.py:1175 [INFO] Setting signal max routing layer to: met5 and clock max routing layer to met5. {*}-density 0.81875 -timing_driven -routability_driven -skip_io -pad_right 0 -pad_left 0 -init_wirelength_coef 0.25 [WARNING GPL-0150] -skip_io will disable timing driven mode. [WARNING GPL-0151] -skip_io will disable routability driven mode. [INFO GPL-0002] DBU: 1000 [INFO GPL-0003] SiteSize: 460 2720 [INFO GPL-0004] CoreAreaLxLy: 5520 10880 [INFO GPL-0005] CoreAreaUxUy: 12880 16320 [ERROR GPL-0120] instance 2 width is larger than core. Error: gpl.tcl, 69 GPL-0120 [182227] ERROR Full log file: 'runs/RUN_2024-03-18_18-22-24/18-openroad-globalplacementskipio/openroad-globalplacementskipio.log' step.py:1176 [182227] WARNING The following warnings were generated by the flow: flow.py:628 [182227] WARNING 'PNR_SDC_FILE' is not defined. Using generic fallback SDC for OpenROAD PnR steps. flow.py:629 'SIGNOFF_SDC_FILE' is not defined. Using generic fallback SDC for OpenROAD PnR steps. 'PL_TARGET_DENSITY_PCT' not explicitly set, using dynamically calculated target density: 81.87500… [182227] ERROR The following error was encountered while running the flow: main.py:152 Global Placement Skip IO: subprocess (1, ['openroad', '-exit', '-no_splash', '-metrics', '/home/pontus/repositories/pm32/runs/RUN_2024-03-18_18-22-24/18-openroad-globalplacementskipio/or_metrics_out.json', '/nix/store/jj7zqc4z6fg8plw8w86wpl589yapxwlz-python3-3.11.6-env/lib/python3.11/site-packages/openlane/scripts/openroad/gpl.tcl']) failed [182227] ERROR OpenLane will now quit.
m
@Pontus Thomsson can you share your
config.json
file?
p
{
"DESIGN_NAME": "Circuit", "VERILOG_FILES": ["dir::circuit.sv"], "CLOCK_PERIOD": 100, "CLOCK_PORT": "clk" }
m
@donn does openlane2 support system verilog input? Does this config file contain sufficient information to run openlane2?
p
I think so, everything passes if I make the circuit bigger by making a and b a bus with width 11:0. ``default_nettype none` module Circuit ( output var logic [11:0] b, input var logic clk, input var logic enable, input var logic [11:0] a ); always_ff @(posedge clk) if (enable) b <= a; else b <= 0; endmodule
d
@Mitch Bailey Yosys already supports a subset of SystemVerilog, but there's a plugin for extended support called Synlig you can activate with
"USE_SYNLIG": true
. I wouldn't recommend it though, the Yosys Verilog frontend is far more battle-tested.
πŸ‘ 1
p
I changed to verilog code and the issue is still the same. @Mitch Bailey circuit.v
Copy code
module Circuit (
    output logic b,
    input logic clk,
    input logic enable,
    input logic a
);
  always_ff @(posedge clk)
    if (enable) 
        b <= a;
    else 
        b <= 0;
endmodule
config.json
Copy code
{
  "DESIGN_NAME": "Circuit",
  "VERILOG_FILES": [
    "dir::circuit.v"
  ],
  "CLOCK_PERIOD": 100,
  "CLOCK_PORT": "clk"
}
Error
Copy code
[ERROR GPL-0120] instance _2_ width is larger than core.                                                                                                                                                                                                                            
Error: gpl.tcl, 69 GPL-0120                                                                                                                                                                                                                                                         
[06:23:31] ERROR    Subprocess had a non-zero exit.                                                                                                                                                                                                                     step.py:1170
[06:23:31] ERROR    Last 10 line(s):                                                                                                                                                                                                                                    step.py:1175
                    [INFO] Setting signal max routing layer to: met5 and clock max routing layer to met5.                                                                                                                                                                           
                    {*}-density 0.81875 -timing_driven -routability_driven -skip_io -pad_right 0 -pad_left 0 -init_wirelength_coef 0.25                                                                                                                                             
                    [WARNING GPL-0150] -skip_io will disable timing driven mode.                                                                                                                                                                                                    
                    [WARNING GPL-0151] -skip_io will disable routability driven mode.                                                                                                                                                                                               
                    [INFO GPL-0002] DBU: 1000                                                                                                                                                                                                                                       
                    [INFO GPL-0003] SiteSize: 460 2720                                                                                                                                                                                                                              
                    [INFO GPL-0004] CoreAreaLxLy: 5520 10880                                                                                                                                                                                                                        
                    [INFO GPL-0005] CoreAreaUxUy: 12880 16320                                                                                                                                                                                                                       
                    [ERROR GPL-0120] instance _2_ width is larger than core.                                                                                                                                                                                                        
                    Error: gpl.tcl, 69 GPL-0120                                                                                                                                                                                                                                     
                                                                                                                                                                                                                                                                                    
[06:23:31] ERROR    Full log file: 'runs/RUN_2024-03-19_06-23-27/18-openroad-globalplacementskipio/openroad-globalplacementskipio.log'                                                                                                                                  step.py:1176
[06:23:31] WARNING  The following warnings were generated by the flow:                                                                                                                                                                                                   flow.py:628
[06:23:31] WARNING  'PNR_SDC_FILE' is not defined. Using generic fallback SDC for OpenROAD PnR steps.                                                                                                                                                                    flow.py:629
                    'SIGNOFF_SDC_FILE' is not defined. Using generic fallback SDC for OpenROAD PnR steps.                                                                                                                                                                           
                    'PL_TARGET_DENSITY_PCT' not explicitly set, using dynamically calculated target density: 81.87500…                                                                                                                                                              
[06:23:31] ERROR    The following error was encountered while running the flow:                                                                                                                                                                                      __main__.py:152
                    Global Placement Skip IO: subprocess (1, ['openroad', '-exit', '-no_splash', '-metrics', '/home/pontus/repositories/pm32/runs/RUN_2024-03-19_06-23-27/18-openroad-globalplacementskipio/or_metrics_out.json',                                                   
                    '/nix/store/jj7zqc4z6fg8plw8w86wpl589yapxwlz-python3-3.11.6-env/lib/python3.11/site-packages/openlane/scripts/openroad/gpl.tcl']) failed                                                                                                                        
[06:23:31] ERROR    OpenLane will now quit.                                                                                                                                                                                                                          __main__.py:153
Classic - Stage 18 - Global Placement Skip IO ━━━━━━━━━╺━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 17/73 0:00:03
m
Looks like the
instance _2_ width is larger than core
may be where to start. Maybe the implicit core size is too small. Can you try a larger explicit
CORE_AREA
?
p
How do I set that? in the
config.json
?
m
I think so. Something like
Copy code
"CORE_AREA": "0 0 1000 1000",
p
Seems like it did work, I get the exact same error
But it seems to have been used at least. I can see it in
runs/RUN_2024-03-19_18-22-32/12-openroad-floorplan/_env.tcl
Copy code
set ::env(CORE_AREA) "0 0 1000 1000"