Hadir Khan
12/17/2022, 5:42 AMconfig.tcl
generated has FP_PIN_ORDER_CFG
defined by default if we use config.tcl
as the configuration file. However, if we use config.json
the default FP_PIN_ORDER_CFG
is not set unless we manually set it. Is this parameter required to be set? I am wondering why one config file type sets some default parameters while the other doesn’t. This leads to a lot of confusion..Hadir Khan
12/18/2022, 7:57 AMHadir Khan
12/22/2022, 7:06 AMreg_mprj_io_0 = GPIO_MODE_MGMT_STD_OUTPUT;
// To start, set pin 0 to 1
reg_mprj_datal = 0x00000001;
and in the testbench
wire start = mprj_io[0];
initial begin
wait(start == 1'b1);
$display($time, " Saw bit 1: VCD starting");
$dumpfile("la_test.vcd");
$dumpvars(0, la_test_tb);
end
initial begin
#10000000
$display("Timeout");
$finish;
end
I am always getting TimeoutHadir Khan
12/22/2022, 8:34 PMuser_defines.v
do we need to include it somewhere?Sean Cross
12/27/2022, 12:19 PMthis exceeds GitHub's file size limit of 100.00 MB
for files such as *.gds
and *.mag
. It then suggests I use lfs.
Is there a document that describes the process? The one I've found stops at ensuring make run-precheck
succeeds (which it doesn't, due to https://github.com/efabless/mpw_precheck/issues/178)Matt Venn
12/31/2022, 4:59 PMRita
12/31/2022, 7:10 PMRita
12/31/2022, 7:10 PMRita
12/31/2022, 7:10 PMRita
12/31/2022, 7:54 PMRita
12/31/2022, 7:55 PMRita
12/31/2022, 7:58 PMRita
12/31/2022, 10:54 PMRita
12/31/2022, 10:54 PMNishad P
01/03/2023, 9:54 AMIndira Iyer
01/06/2023, 7:13 PMRuige Lee
01/10/2023, 1:31 AMaccepted
or not been select
.Tim 'mithro' Ansell
01/13/2023, 1:51 PMTim 'mithro' Ansell
01/13/2023, 1:52 PMMatt Venn
01/13/2023, 3:17 PMMatt Venn
01/13/2023, 3:18 PMTim 'mithro' Ansell
01/13/2023, 4:34 PMTobias Strauch
01/16/2023, 6:01 PMTom
01/31/2023, 8:31 PMIndira Iyer
02/02/2023, 10:05 PMIndira Iyer
02/10/2023, 7:53 PMHanssel Enrique Morales Norato
02/14/2023, 7:57 PMTholin
04/26/2023, 7:23 AMMitch Bailey
05/16/2023, 1:00 AMskandha deepsita
05/16/2023, 7:53 AM